Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Проектування та дослідження регістрових схем

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Не вказано

Інформація про роботу

Рік:
2014
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Проектування вбудованих комп’ютерних систем

Частина тексту файла

Міністерство освіти і науки України Національний університет „Львівська політехніка” Звіт з лабораторної роботи № 3 з дисципліни: “Проектування вбудованих комп’ютерних систем” На тему: «Проектування та дослідження регістрових схем» Львів 2014 «Проектування та дослідження перетворювачів кодів» Метою роботи є Проектування і перевірка роботи двох варіантів перетворювача двійково-десяткових кодів десяткових цифр (Д-кодів): на основі дешифратора і шифратора; на основі постійного запам’ятовувального пристрою. Мій варіант. Код 09: A D C 5 3 7 E B 9 8   Хід роботи. Запускаю програму. /. Створюю нове робоче середовище: / Задаю ім’я нового робочого середовища: Визначаю початкові умови середовища: / Вводжу додаткову інформацію про проект: / Задаю ім’я нового проекту: / Підтверджую правильність інформації про проект: Відкриваю новий аркуш графічного редактора: / Створюю схему перетворювача на основі дешифратора і шифратора. / Задаю параметри дешифратора і запускаю генерацію ядра: / Запускаю компіляцію новоутвореного ядра і створюю символьний бібліотечний елемент: / Розміщую символ дешифратора на полі схеми: / Користуючись вбудованим помічником, створиюю VHDL-опис дешифратора «16 у 4». Відкриваю помічника: / Знаходжу і копіюю приклад дешифратора: / У графічному редакторі обраю режим Проектування VHDL-описів: / Розміщую на полі схеми контур майбутнього дешифратора: / Відкриваю контур / і вставляю у нього раніше скопійований VHDL-опис прикладу дешифратора: / Виправляю опис у відповідності до заданого варіанту лабораторної роботи. Запам’ятовую схему під ім’ям, яке повинне містити літери прізвища студента. Проводжу компіляцію схеми створеного перетворювача кодів. Створюю командний файл 3.do з завданням на моделювання: #---------------------------------------------------------------------------------------------- add wave GRS_I GRS_S GRS_INP GRS_OUTP GRS_OUTR force GRS_I 1 0 force GRS_S 16#0 0 ns, 16#1 10 ns, 16#2 20 ns, 16#3 30 ns, 16#4 40 ns, 16#5 50 ns, 16#6 60 ns, 16#7 70 ns, 16#8 80 ns, 16#9 90 ns, 16#A 100 ns, 16#B 110 ns, 16#C 120 ns, 16#D 130 ns, 16#E 140 ns, 16#F 150 ns run 400 ns #---------------------------------------------------------------------------------------------- Переходжу до режиму моделювання. Промодельовую створену схему перетворювача. Згенеровую ядро постійного запам’ятовувального пристрою з організацією 4 х 4: Як файл змісту ПЗП створюю і використовую текстовий файл з розширенням rom4x4.hex (формат ф. Intel) із змістом: :1000000000000000000000000000000000000000f0 Формат .hex: Редагую VHDL-опис ПЗП у відповідності до заданого варіанту лабораторної роботи. / Проводжу компіляцію ПЗП, створюю його символ. Розміщую символ на схемі поруч з раніше створеними дешифратором і шифратором. Заводжу на входи ПЗП сигнали, які заведені на вхід дешифратора. / Промодельовую схему, показую що обидві схеми працюють однаково. / Висновки: на цій лабораторній роботі я навчився проектувати і перевіряти роботу перетворювачів двійково-десяткових кодів десяткових цифр (Д-кодів).
Антиботан аватар за замовчуванням

25.05.2014 12:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини